Sprawozdanie Układy cyfrowe 2007, wip, Elektronika 2


Olga Michalak

Melchior Moroz

Karol Walendziak

Paweł Zawadzki

Sprawozdanie

UKŁADY CYFROWE

Celem ćwiczenia było zapoznanie się z podstawowymi elementami stosowanymi w technice cyfrowej, oraz ich wykorzystaniem w praktyce.

Przy wykonywaniu ćwiczenia opieraliśmy się o znane nam już z wykładu prawa de'Morgana:

0x01 graphic
0x01 graphic

Algebrą Boole'a:

0x01 graphic
0x01 graphic
0x01 graphic
0x01 graphic

oraz o system funkcjonalnie pełny, jakim jest bramka typu NAND.

Znając te zagadnienia mogliśmy przystąpić do wykonywania kolejnych ćwiczeń, które polegały na budowaniu układów cyfrowych, o określonych parametrach wejść, lub wyjść.

Ćwiczenie 1

Zbudować układ, który na wyjściu będzie miał sygnał 0x01 graphic
przy użyciu bramek NAND

0x01 graphic

0x08 graphic
0x01 graphic
0x01 graphic

0x01 graphic

0x01 graphic
0x01 graphic

Ćwiczenie 2

Zbudować układ, który na wyjściu będzie miał sygnał 0x01 graphic
przy użyciu bramek NAND

0x08 graphic
0x01 graphic
0x01 graphic

0x01 graphic
0x01 graphic

Ćwiczenie 3

Prasa hydrauliczna pracuje tylko wówczas, gdy chłodnica schłodzi płyn roboczy do odpowiedniej temperatury. Kolejnym ustawieniem prasy, jest obecność materiału, która dla naszej prasy jest obojętne, co znaczy, ze prasa zadziała nawet wówczas, gdy materiału nie będzie. Do dopełnienia warunków zadziałania prasy należy dodać, że ustawienie „człowiek bezpieczny” musi być w ustawieniu „0”, oznacza to, że w zasięgu pracy maszyny nie może być człowieka.

0x01 graphic

0x01 graphic

0x01 graphic

0x01 graphic

0

0

0

0

0

0

1

0

0

1

0

0

0

1

1

0

1

0

0

1

1

0

1

0

1

1

0

1

1

1

1

0

Rozważając nasz sygnał zapisujemy 3 sygnały wejściowe:

0x01 graphic
- wychłodzenie płynu

0x01 graphic
- obecność materiału

0x01 graphic
- człowiek bezpieczny

Rys 1. Tablica stanów

Rozpisujemy równania stanów:

0x01 graphic

0x08 graphic
0x01 graphic
0x01 graphic
0x01 graphic

0x01 graphic
0x01 graphic

Ćwiczenie 4

Znane jest równanie stanów. Doprowadź je do najprostszej postaci, aby zminimalizować układ i na podstawie tego zbuduj układ realizujący podane równanie.

0x01 graphic

Upraszczając:

0x01 graphic

Co na schemacie wygląda następująco:

0x01 graphic
0x01 graphic
0x01 graphic

0x08 graphic

0x01 graphic

0x01 graphic

0x01 graphic

Poprawność działania wszystkich układów sprawdzaliśmy przy wykorzystaniu układów scalonych z 2 i 3 wejściowymi bramkami NAND będącymi elementami tablicy UNILOG (UL-01, UL-04, UL-0.5 oraz UL-07) za pomocą której budowaliśmy wszystkie swoje układy.

Sygnał wyjściowy odczytywaliśmy przy pomocy diody LED.

Najczęściej stosowanymi scalonymi układami bipolarnymi w technice cyfrowej są układy TTL(transistor-transistor-logic). Stosowane w zakresie małego i średniego stopnia scalania. W układach cyfrowych sygnały przyjmują dwie wielkości dyskretne, z których jedna jest identyfikowana jako stan logiczny „1”, a druga stan logiczny „0”.

Wartości charakteryzujące taki układ to :

Napięcie zasilające na poziomie +5V powinno być stabilizowane w granicach ±5%

Napięcie wejściowe w stanie logicznym „0” to 0,8 V , natomiast stan „1” jest napięciem równym 2 V oraz odpowiadające im napięcia wyjścia :

Obciążalność bramki w naszym przypadku równa 10 określa ilość takich samych układów TTL które mogą być dołączone do jednego wyjścia układu podstawowego (TTL). Przekroczenie zalecanej wartości obciążalności może spowodować nagrzewanie się układu i zwiększenie poboru mocy.



Wyszukiwarka

Podobne podstrony:
Sprawozdanie Układy cyfrowe 2007 nasze, nauka, PW, Sem 4, Elektronika II lab
Sprawozdanie - Uklady Kombinacyjne, Studia, semestr 4, Elektronika II, Elektr(lab)
Sprawozdanie Ukłądy cyfrowe
Jaworek Michal sprawozdanie uklady cyfrowe liczniki
Jaworek Michal sprawozdanie uklady cyfrowe dodawanie
Układy Impulsowe, wip, Elektronika 2, sprawozdanie
Układy Impulsowe, wip, Elektronika 2, sprawozdanie
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 2
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 6
Generatoryprzebiegówsinusoidalnych, wip, Elektronika 2, Elektronika II - sprawozdania na laboratoria
Sprawozdanie nr 31, MIBM WIP PW, fizyka 2, laborki fiza(2), 31-Ruch elektronu w polu magnetycznym i
układy sekwencyjne, wip, Elektronika 2
Ćw.2.Cyfrowy regulator PID, Elektrotechnika - notatki, sprawozdania, podstawy automatyki i regulacji
Sprawozdanie - Układy Sekwencyjne, nauka, PW, Sem 4, Elektronika II lab
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 4
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 3
Generatory, wip, Elektronika 2, sprawozdanie
Sprawko 11, ZiIP, Semestr I, Układy Cyfrowe, Sprawozdania, UC 11

więcej podobnych podstron