Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 4

Układy cyfrowe i systemy wbudowane 1 – laboratorium mgr inż. Antoni Sterna Pt 1245 - 1500

SPRAWOZDANIE

Naszym zadaniem było skonstruować układ wyświetlający kod znaku, który został przesłany z komputera poprzez port szeregowy oraz ilość przesłanych znaków. Drugi układ miał zliczać tylko wybrane znaki (cyfry), a ponadto po przesłaniu ‘r’ licznik miał się wyzerować.

Do komunikacji przez port szeregowy wykorzystaliśmy gotowy moduł RS232_RX. Parametry transmisji to: szybkość 115200bps, 8b danych, bez bitu parzystości, 1b stop. Do przesyłania znaków z komputera skorzystaliśmy z programu jsterm, który posiada już odpowiednią konfigurację.

  1. Układ wyświetlający kod znaku, który został przesłany z komputera poprzez port szeregowy oraz ilość przesłanych znaków

Schemat układu został zamieszczony poniżej:

Wyniki były wyświetlane na wyświetlaczu w następujący sposób: 2 pierwsze pozycje to kod znaku, 2 kolejne to wynik licznika.

  1. Układ zliczający tylko wybrane znaki (cyfry), a po przesłaniu ‘r’ licznik zeruje się

Poniżej zamieszczony jest schemat układu:

Wyświetlanie wyników działa analogicznie jak w układzie nr 1, z tym, że teraz licznik zliczał tylko cyfry. Reset licznika działał prawidłowo. Ponadto każde odbiór znaku sygnalizowany był naprzemiennie przez zapalanie lub wygaszanie wszystkich kropek na wyświetlaczu.

Wnioski:

Dzięki temu ćwiczeniu mieliśmy okazję zapoznać się ze specyfiką przesyłania danych za pomocą portu szeregowego oraz ich odbiór i przetwarzanie na układzie XC9572XL. Wszystkie nasze projekty zadziałały prawidłowo. Ponadto zgłębiliśmy jeszcze naszą wiedzę w zakresie obsługi wyświetlacza oraz zastosowania magistral w czasie tworzenia układu w programie Xilnix ISE Project Navigator. Dzięki wykorzystaniu gotowych modułów, realizacja ćwiczeń przebiegła dosyć łatwo i nie nastręczała większych problemów. Nabyte przez nas umiejętności na pewno przydadzą się przy realizacji kolejnych zadań.


Wyszukiwarka

Podobne podstrony:
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 2
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 6
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 3
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 7
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 8
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 1
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 9
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 5
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 2
fras,systemy wbudowane L, sprawozdanie ARM 7 obsługa przetwornika?
Sprawozdanie Ukłądy cyfrowe
Sprawozdanie Układy cyfrowe 2007 nasze, nauka, PW, Sem 4, Elektronika II lab
Sprawozdanie Układy cyfrowe 2007, wip, Elektronika 2
Sprawko 11, ZiIP, Semestr I, Układy Cyfrowe, Sprawozdania, UC 11
Jaworek Michal sprawozdanie uklady cyfrowe liczniki
Jaworek Michal sprawozdanie uklady cyfrowe dodawanie
Cyfrowy system rejestracji obrazu

więcej podobnych podstron