4 Podstawy techniki cyfrowej, Podstawy techniki cyfrowej


Ćwiczenie nr 4

PODSTAWY TECHNIKI CYFROWEJ: FUNKTORY LOGICZNE I PRZERZUTNIKI.

  1. PODSTAWOWE FUNKCJE LOGICZNE I FUNKTORY LOGICZNE

    1. Wstęp

Istotą techniki cyfrowej jest wytwarzanie cyfrowych sygnałów wyjściowych jako odpowiedzi na cyfrowe sygnały wejściowe. Na przykład sumator przetwarza doprowadzone do wejść dwie liczby 16 - bitowe na sumę 16 - bitową tych liczb oraz bit przeniesienia. Można także zbudować układ do mnożenia dwóch liczb. Są to operacje w rodzaju tych, jakie powinna wykonywać jednostka arytmetyczna komputera. Innym zadaniem może być porównanie dwóch liczb w celu sprawdzenia, która z nich jest większa.

Typowymi zadaniami układów cyfrowych jest pobranie pewnych liczb binarnych, ich wyświetlenie, wydrukowanie lub wydziurkowanie jako znaków dziesiętnych. We wszystkich tych zadaniach sygnały (stany) wyjściowe są zdeterminowanymi funkcjami sygnałów (stanów) wejściowych. Wszystkie zadania mogą być wykonane za pomocą urządzeń zwanych bramkami, które realizują działania algebry Boole'a w dziedzinie układów dwustanowych (binarnych) i sieci złożonych z takich elementów, którymi są bramki. Każda ze zmiennych boolowskich może być równa tylko zeru lub równa tylko jedynce. To założenie można zapisać w postaci:

X = 0, jeśli X 1

X 1, jeśli X = 0

Funkcję, której zmienne lub ona sama przyjmuje wartości ze zbioru {0, 1} nazywamy funkcją boolowską (logiczną, przełączającą). Natomiast układ przetwarzający logiczne sygnały wejściowe na logiczne sygnały wyjściowe nazywa się układem logicznym.

Przy projektowaniu dwustanowych (0 - stan niski, 1 - stan wysoki) układów cyfrowych posługujemy się algebrą Boole'a.

Algebra Boole'a, którą stosujemy w układach, opiera się na trzech zasadniczych funkcjach, którymi są:

Stosowane są również operatory złożone (pochodne), jak:

Jedną z postaci algebry Boole'a jest znany rachunek zdań, gdzie zamiast znaków sumy + stosuje się łącznik lub, zamiast znaku iloczynu - łącznik i, a do negacji używamy słowa nie. Algebra Boole'a posługuje się szeregiem praw i tożsamości.

W algebrze Boole'a obowiązują następujące podstawowe prawa:

  1. Prawo przemienności mnożenia i dodawania:

  2. a

    A+B = B+A

    b

    A · B = B · A

    1. Prawo łączności:

    2. a

      mnożenia

      A+B+C = A+(B+C) = (A+B)+C

      b

      dodawania

      A · B · C = A · (B · C) = (A · B) ·C

      1. Prawo rozdzielczości:

      2. a

        mnożenia względem dodawania

        A ·(B + C) = A · B + A ·C

        b

        dodawania względem mnożenia

        A + B · C = (A + B) · (A + C)

        1. Prawa podstawowe:

        2. a

          A + 1 = 1

          b

          A · 1 = A

          a

          A + 0=A

          b

          A · 0 = 0

          a

          A + A = A

          b

          A · A = A

          a

          0x01 graphic

          b

          0x01 graphic

          1. Prawa de Morgana:

          2. a

            0x01 graphic

            b

            0x01 graphic

            1. Podstawowe tożsamości algebry Boole'a:

            a

            A · B + A · C = A · (B + C)

            b

            (A + B) · (A + C) = A + B · C

            a

            A · B +B·C+0x01 graphic
            ·C=A·B+0x01 graphic
            -C

            b

            (A + B) · (B + C) · (0x01 graphic
            +C) = (A+B) · (0x01 graphic
            + C)

            a

            A · B + 0x01 graphic
            · B = B

            b

            (A + B) · (0x01 graphic
            + B) = B

            Funkcje: sumy, iloczynu i negacji tworzą tzw. podstawowy system funkcjonalnie pełny. System funkcji logicznych nazywamy funkcjonalnie pełnym, jeśli za pomocą zasad superpozycji i podstawiania argumentów można uzyskać dowolną funkcję logiczną. Podstawowy system funkcjonalnie pełny nie jest minimalny, ponieważ sumę lub iloczyn można zrealizować za pomocą dwóch pozostałych operatorów, korzystając z praw de Morgana:

            0x01 graphic
            0x01 graphic

            Operacja sumy logicznej jest zdefiniowana następująco:

            • jeżeli co najmniej jeden z argumentów jest równy 1, to wynik jest równy 1. Zatem suma logiczna jest równa 0 tylko dla przypadku, gdy wszystkie argumenty są równe 0.

            Operacja iloczynu logicznego jest zdefiniowana następująco:

            • wynik iloczynu jest równy 1, wtedy i tylko wtedy, gdy wszystkie argumenty przyjmują wartość 1.

            Operacja negacji jest operacją jednoargumentową i jest zdefiniowana jako zmiana wartości argumentu, tj. jeśli argument ma wartość 1, to operacja ta daje w wyniku wartość 0, a jeśli argument ma wartość 0, to operacja ta daje w wyniku wartość 1.

            Łatwo można wykazać, że:

            • dowolna n - argumentowa funkcja logiczna jest określona dla 2n różnych kombinacji argumentów,

            • liczba różnych n - argumentowych funkcji logicznych jest skończona i równa 2n.

            Dowolną funkcję logiczną można wyrazić przez funkcje logiczne dwóch zmiennych (tablica 2.1). Kilka funkcji przedstawionych w tablicy 2.1 jest szczególnie interesujących, ponieważ są one realizowane za pomocą układów w postaci bramek scalonych TTL.

            Do funkcji takich należą funkcje:

            • I (AND),

            • LUB (OR),

            • I - NIE (NAND),

            • LUB - NIE (NOR),

            • ALBO (Ex - OR),

            • NIE (NOT)

              1. Podstawowe funkcje logiczne

            Funkcja I (AND)

            Do przedstawienia iloczynu logicznego X np. dwu zmiennych A i B są stosowane wyrażenia:

            0x01 graphic

            Najczęściej używane jest pierwsze i drugie wyrażenie. Funkcję I (AND) dwóch zmiennych boolowskich przedstawiono na rys.1.

            Funkcja iloczynu logicznego przyjmuje wartość 1 wtedy i tylko wtedy, gdy wszystkie zmienne, A i B przyjmują wartość 1. W każdym innym przypadku iloczyn logiczny tych sygnałów wynosi 0.

            A

            B

            X = AB

            0

            0

            0

            0

            1

            0

            1

            0

            0

            1

            1

            1

            Rys. 1 Tablica dwuargumentowej funkcji I (AND).

            0x08 graphic

            Rys. 2 Realizacja fizyczna dwuargumentowej funkcji I (AND).

            Operację iloczynu logicznego X, realizują szeregowo połączone klucze A i B w obwodzie elektrycznym. Napięcie baterii będzie wykorzystane do rozświecenia żarówki, tzn. X = 1 tylko wtedy, jeśli zarówno klucz A, jak i klucz B będą zamknięte, tzn. A = 1 i B = 1 (patrz rys.1).

            Funkcja LUB (OR)

            Do przedstawienia sumy logicznej Y np. dwu zmiennych A i B są stosowane wyrażenia:

            0x01 graphic

            Najczęściej używane jest pierwsze wyrażenie.

            Jeżeli na wejścia układu podamy sygnał A i sygnał B, to na jego wyjściu otrzymamy sygnał będący ich sumą A + B. Przyjmuje ona poziom 1, gdy A lub B ma poziom 1. Funkcja sumy logicznej przyjmuje wartość 0 wtedy, gdy wszystkie zmienne przyjmują wartość 0.

            Funkcję LUB (OR) dwóch zmiennych przedstawiono na rys.3, który ilustruje różnicę między sumą logiczną a sumą arytmetyczną. W ostatnim wierszu tablicy widzimy, że 1 + 1 = 1 dla sumy logicznej. Liczba zmiennych sumowanych logicznie może być dowolna.

            A

            B

            Y=A+B

            0

            0

            0

            0

            1

            1

            1

            0

            1

            1

            1

            1

            Rys. 3 Tablica dwuargumentowej funkcji LUB (OR).

            Obwód elektryczny z równolegle połączonymi kluczami A i B, które realizują operację sumy logicznej Y, jest przedstawiony na rys. 4. Napięcie baterii rozświeci żarówkę, tzn. Y = l, wówczas, gdy klucz A lub klucz B, lub obydwa są zamknięte (patrz rys.3).

            0x08 graphic

            Rys. 4 Realizacja fizyczna dwuargumentowej funkcji LUB (OR).

            Funkcja NIE (NOT)

            Do przedstawienia negacji N np. zmiennej A są stosowane wyrażenia:

            0x01 graphic

            Najczęściej używane jest pierwsze wyrażenie. Symbol A odczytuje się jako „nie A” lub „A zanegowane”, lub „dopełnienie A".

            Funkcja NIE (NOT) polega na inwersji (negacji) zmiennej, zamienia stan wysoki (1) na stan niski (0) lub odwrotnie. Jeżeli na wejście podamy sygnał logiczny A, to na wyjściu otrzymamy jego negację 0x01 graphic
            („nie A”). Funkcję NIE (NOT) przedstawiono na rys.5.

            A

            N

            0

            1

            1

            0

            Rys. 5 Tablica funkcji NIE (NOT).

              1. Funktory układów logicznych

            Bramkami nazywane są kombinacyjne układy cyfrowe, realizujące proste funkcje logiczne jednej lub wielu zmiennych logicznych. Zmienną logiczną jest sygnał elektryczny występujący na wejściach i wyjściach tych układów.

            Poniżej zostanie przedstawione działanie logiczne podstawowych typów bramek logicznych.

            BRAMKA I (AND)

            Bramka ta jest układem o dwu lub większej liczbie wejść, realizującym funkcję iloczynu logicznego zmiennych wejściowych.

            W przypadku ogólnym bramki mogą mieć dowolną liczbę wejść, ale typowy układ scalony zawiera zwykle cztery bramki 2 - wejściowe, trzy bramki 3 - wejściowe lub dwie bramki 4 - wejściowe. Wyjście bramki AND jest w stanie wysokim tylko wtedy, gdy oba wejścia są w stanie wysokim (rys. 6).

            W algebrze Boole'a symbolowi AND odpowiada kropka (·). „A I B” jest zapisywane jako A · B lub po prostu AB.

            1. b)

            0x08 graphic
            A

            B

            F

            0

            0

            0

            0

            1

            0

            1

            0

            0

            1

            1

            1

            0x01 graphic

            Rys. 6 Dwuwejściowa bramka I (AND):

            a) tablica prawdy, b) symbol graficzny.

            BRAMKA LUB (OR)

            Bramka ta jest układem o dwu lub większej liczbie wejść, realizującym funkcję sumy logicznej zmiennych wejściowych (rys.7). Wyjście bramki OR (LUB) jest w stanie wysokim, jeżeli któreś z wejść (lub oba) jest w stanie wysokim. Można to wyrazić za pomocą „tablicy prawdy”.

            a) b)

            0x08 graphic
            A

            B

            F

            0

            0

            0

            0

            1

            1

            1

            0

            1

            1

            1

            1

            0x01 graphic

            Rys. 7 Dwuwejściowa bramka LUB (OR):

            a) tablica prawdy, b) symbol graficzny.

            W algebrze Boole'a symbolowi OR odpowiada symbol „+”. „A LUB B” jest zapisywane jako A + B.

            BRAMKA NIE (NOT) - INWERTER

            Często potrzebujemy zmienić stan logiczny na przeciwny, nazywa się to również negowaniem stanu logicznego. Jest to funkcja inwertora, „bramki” o jednym wejściu (rys. 8).

            Boole'owskim odpowiednikiem symbolu NOT (negacji Nie) jest kreska pozioma stawiana nad negowanym elementem, a czasem apostrof(`). „NIE A” jest zapisywane jako 0x01 graphic
            lub jako A'.

            a) b)

            0x08 graphic

            A

            F

            0

            1

            1

            0

            0x01 graphic

            Rys. 8 Bramka NIE (NOT):

            a) tablica prawdy, b) symbol graficzny.

            Do podstawowych bramek negacyjnych należy bramka I-NIE (Not AND lub krócej NAND) oraz bramka LUB-NIE (Not OR lub krócej NOR).

            BRAMKA I - NIE (NAND)

            Bramka ta jest układem realizującym funkcję negacji iloczynu, a więc zgodnie z prawem de Morgana również funkcje sumy negacji zmiennych wejściowych (rys.9).

            Funkcja NOT może być połączona z innymi funkcjami, tworząc NAND. Bramka I-NIE (NAND) jest bramką podstawową w kilku klasach scalonych układów cyfrowych.

            a) b)

            0x08 graphic
            A

            B

            F

            0

            0

            1

            0

            1

            1

            1

            0

            1

            1

            1

            0

            0x01 graphic

            Rys. 9 Bramka I - NIE (NAND): a) tablica prawdy, b) symbol graficzny.

            BRAMKA LUB - NIE (NOR)

            Bramka ta jest układem realizującym funkcję negacji sumy, a więc zgodnie z prawem de Morgana również funkcję iloczynu negacji zmiennych wejściowych. Podobnie jak bramka I-NIE (NAND), również i ta bramka jest podstawową bramką w kilku klasach scalonych układów cyfrowych (rys. 10). Ponieważ funkcje I-NIE (NAND) i LUB-NIE (NOR) są wzajemnie dualne, zatem układ bramek LUB-NIE (NOR) realizujący funkcję f(A, B, ..., Z) po zamianie bramek LUB-NIE (NOR) na bramki I-NIE (NAND), bez zmiany jego struktury, realizować będzie funkcję dualną 0x01 graphic
            .

            a) b)0x01 graphic

            0x08 graphic
            A

            B

            F

            0

            0

            1

            0

            1

            0

            1

            0

            0

            1

            1

            0

            0x01 graphic

            Rys. 10 Bramka LUB - NIE (NOR):

            a) tablica prawdy, b) symbol graficzny.

            BRAMKA ALBO (EX - OR)

            Exclusive - OR (czyli WYŁĄCZNIE LUB) - wyjście bramki XOR jest w stanie wysokim, jeżeli jedno albo drugie wejście jest w stanie wysokim - jest to zawsze funkcja dwóch zmiennych (rys. 11). Mówiąc inaczej, wyjście jest w stanie wysokim, jeżeli stany wejść są różne. Bramka XOR realizuje dodawanie bitów modulo - 2.

            a) b)

            0x08 graphic
            A

            B

            F

            0

            0

            0

            0

            1

            1

            1

            0

            1

            1

            1

            0

            0x01 graphic

            Rys.11 Bramka ALBO (EX - OR):

            a) tablica prawdy, b) symbol graficzny.

            BRAMKA ALBO - NIE (EX - NOR)

            Bramka ALBO (Ex-OR) umożliwia bardzo prostą realizację funkcji ALBO - NIE (rys. 12), która ma postać:

            F(A, B) = AB+0x01 graphic
            = AB

            Funkcja ALBO-NIE (Exclusive-NOR lub krócej funkcja Ex-NOR), może być zrealizowana bądź za pomocą bramki ALBO (Ex-OR), jeśli na jedno z jej wejść zostanie podany, zamiast sygnału prostego, sygnał zanegowany:

            0x01 graphic
            AB

            bądź przez zanegowanie sygnału wyjściowego tej bramki:

            0x01 graphic
            B

            a) b)

            0x08 graphic
            A

            B

            F

            0

            0

            1

            0

            1

            0

            1

            0

            0

            1

            1

            1

            0x01 graphic

            Rys. 12 Bramka ALBO - NIE (EX -NOR):

            a) tablica prawdy, b) symbol graficzny.

            Podstawowe tożsamości dla funkcji ALBO (Ex - OR) i funkcji ALBO - NIE (Ex - NOR) przedstawiono poniżej w tablicy 2.2.

            Tablica 2.2 Podstawowe tożsamości dla funkcji ALBO (Ex - OR) i ALBO-NIE (Ex - NOR)

            0x01 graphic

            0x01 graphic

            0x01 graphic

            0x01 graphic

            0x01 graphic
            0x01 graphic

            0x01 graphic

            Przy projektowaniu układów cyfrowych należy mieć na uwadze, że za pomocą pewnych rodzajów bramek można utworzyć inne. Na przykład, jeżeli potrzebna jest bramka AND, a mamy do dyspozycji dwie dwuwejściowe bramki NAND, możemy zastosować chwyt jaki przedstawia rys. 13a.

            a)

            0x08 graphic

            b)

            0x08 graphic

            0x08 graphic
            c)

            d)

            0x08 graphic

            Rys. 13 Przykłady realizacji podstawowych funkcji logicznych.

            Funkcja Ex-OR (XOR) może być przedstawiona opisowo jako:

            „albo A albo B ale nie jednocześnie”

            Układy kombinacyjne: stany wyjść zależą wyłącznie od aktualnych stanów wszystkich wejść.

            Układy sekwencyjne: stany wyjść zależą od aktualnych stanów na wejściach oraz od stanów poprzedzających, czyli zależą od sekwencji stanów wejściowych.

            2. PRZERZUTNIKI.

            Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej, są najprostszymi układami pamięciowymi. Przerzutnik zapamiętuje zmianę stanu logicznego wejścia. Stan zapamiętania sygnalizowany jest zmianą stanu wyjścia. Stan zapamiętania może być skasowany :

            • przez podanie na wejście kasujące odpowiedniego sygnału - przerzutnik bistabilny;

            • samoistnie, po czasie założonym przez konstruktora - przerzutnik monostabilny.

            0x01 graphic

            2.1. PRZERZUTNIKI BISTABILNE.

            Najprostszym przerzutnikiem bistabilnym jest RS.

            S (Set) jest wejściem sygnałów przeznaczonych do zapamiętania, R (Reset) jest wejściem kasującym. Sygnały na R i S nie powinny pojawiać się jednocześnie. Układ reaguje na pojawienie się stanów „0” na wejściach S i R, co podkreślają znaki zaprzeczenia logicznego nad literami oznaczającymi nazwy wejść. Układ - jak większość przerzutników - posiada dwa wyjścia : Q i Q, na których pojawiają się jednocześnie sygnały logicznie odwrócone.

            Jest to przerzutnik asynchroniczny. Odpowiednie stany na wyjściach wytwarzane są w chwili pojawienia się wywołujących je stanów wejściowych.

            0x01 graphic

            Bistabilne przerzutniki synchroniczne posiadają wejścia informacyjne (A i B), które określają ich stan wyjściowy. Jednak stan wyjściowy pojawia się na wyjściach Q dopiero po podaniu na wejście zegarowe C sygnału synchronizacji - impulsu zegara.

            0x08 graphic

            Na ogół przerzutniki te posiadają także wejścia asynchroniczne R i S, (lub R i ), służące do wymuszenia odpowiednio „1” lub „0” na wyjściu Q (i stanów odwróconych na wyjściu). Przerzutnik D posiada jedno wejście informacyjne „D”, wejścia asynchroniczne R i S i standardowe wyjścia Q i Q. Stan wyjścia Q przyjmuje wartość logiczną wejścia D w momencie pojawienia się zbocza narastającego impulsu zegara.

            0x01 graphic

            2.2. PRZERZUTNIKI MONOSTABILNE.

            Najprostszy przerzutnik monostabilny można zbudować z bramek NAND:

            0x01 graphic

            Po podaniu na wejście impulsu (zera logicznego) układ zmienia stan wyjścia Q z „0” na „1”. Jednak po czasie proporcjonalnym do stałej RC w punkcie X obwodu ponownie pojawia się zero logiczne i układ powraca do stanu wyjściowego: Q=„0”.

            0x01 graphic

            Częściej jednak przerzutniki monostabilne realizuje się za pomocą specjalizowanych układów 74121 i 74123. Gdy ich wejście C znajdzie się w stanie logicznym „1” generują one na wyjściu Q impuls o czasie trwania proporcjonalnym do stałej czasowej RC.

            Scalone pojemność i rezystancja pozwalają na generację impulsu o czasie trwania około 40 ns, jednak rezystancja może być zwiększana za pomocą zewnętrznych rezystorów z 2 kΩ do 40 kΩ, a pojemność można zwiększać dowolnie, przez dołączanie zewnętrznych kondensatorów. W rezultacie za pomocą tych układów można generować z dobrą powtarzalnością impulsy o czasie trwania do 40 s. W układzie scalonym 74123 zawarte są dwa przerzutniki monostabilne.

            Przerzutniki monostabilne stosuje się do odmierzania czasu, standaryzacji impulsów, pomiaru pojemności i rezystancji.

            2.3. Przerzutniki astabilne.

            Przerzutniki astabilne są generatorami impulsów prostokątnych.

            Najprostsze układ można zbudować z bramek lub przerzutników monostabilnych.

            0x01 graphic

            0x01 graphic

            1. REALIZACJA ĆWICZENIA

            1_Dwuargumentowa bramka CMOS (NOR).exe

            W bramkach CMOS funkcję logiczną dla n zmiennych wejściowych realizuje się dzięki

            n-krotnemu powieleniu struktury inwertera w odpowiednim układzie połączeń (Tn-Tp). Budowę dwuargumentowej bramki CMOS oraz ilustrację operacji logicznej NOR realizowanej w logice dodatniej pokazano na poniższym rysunku. Działanie bramki objaśnia jej tablica stanów.

            W aplikacji przewidziano możliwość zmiany stanu wejść bramki oraz typu logiki. Do wprowadzania danych służą przyciski <H/L> (czerwone/niebieskie), natomiast zmianę logiki uzyskuje się za pomocą przycisku <-/+>.

            0x01 graphic

            2_Dwuargumentowa bramka CMOS (NAND).exe

            W bramkach CMOS funkcję logiczną dla n zmiennych wejściowych realizuje się dzięki n-krotnemu powieleniu struktury inwertera Tn-Tp w odpowiednim układzie połączeń. Budowę dwuargumentowej bramki CMOS oraz ilustrację operacji logicznej NAND realizowanej w logice dodatniej pokazano na rysunku poniżej. Działanie bramki objaśnia jej tablica stanów. W aplikacji przewidziano możliwość zmiany stanu wejść bramki oraz typu logiki. Do wprowadzania danych służą przyciski <H/L> (czerwone/niebieskie), natomiast zmianę logiki uzyskuje się za pomocą przycisku <-/+>.

            0x01 graphic

            3_Bramki Ex-OR i EX-NOR.exe

            Bramka EX-OR reaguje pozytywnie na przemienne występowanie sygnałów w postaci 1 na wejściach A i B. Równoczesne występowanie takich samych sygnałów na obu wejściach wyklucza pozytywną odpowiedź na wyjściu EX-OR. EX-NOR realizuje funkcję koincydencji, co oznacza z kolei, że bramka daje pozytywną odpowiedź (1) tylko wówczas, gdy stany na obu wejściach bramki są identyczne. W aplikacji przewidziano możliwość zmiany stanu wejść bramki oraz selekcję typu bramki - EX-OR lub EX-NOR. Prześledzenie reakcji bramek na różne kombinacje sygnałów wejściowych umożliwia dołączona tablica stanów. Do wprowadzania danych służą przyciski <H/L> (czerwone/niebieskie). Selekcji bramek towarzyszą schematy ideowe, które ilustrują możliwe rozwiązania układowe zapewniające pożądane działanie bramek.

            0x01 graphic

            4_Bramka z przerzutnikiem Schmitta.exe

            Bramka z przerzutnikiem Schmitta jest stosowana do przekształcania wolnozmiennych sygnałów wejściowych w sygnały wyjściowe z poziomami logicznymi i stosunkowo szybko narastającymi zboczami. Przerzutnik Schmitta jest umieszczony między wejściowym układem logicznym bramki a jej stopniem wyjściowym. Charakterystyka przejściowa takiej bramki odznacza się pętlą histerezy charakterystyczną dla przerzutnika Schmitta, co odzwierciedla symbol graficzny.

            W aplikacji przewidziano możliwość zmiany napięcia wejściowego Uwe przy stałym napięciu progowym, do czego służy potencjometr na wejściu bramki, jak również możliwość zmiany poziomu napięcia progowego przy stałym sygnale wejściowym. Poziom napięcia progowego można zmieniać "chwytając" kursorem myszki różową linię symulującą poziom napięcia progowego i przemieszczając ją w górę lub w dół. W obu przypadkach można zaobserwować rodzaj sygnałów generowanych na wyjściu bramki.

            0x01 graphic

            5_Modelowanie operacji logicznych.exe

            W aplikacji pokazano układy elektryczne zrealizowane z zastosowaniem przełączników sterowanych, które modelują logikę pracy bramek cyfrowych. Jeżeli przyjąć zasadę działania przełączników taką, że poziom wysoki H sygnału sterującego powoduje zwarcie styków przełącznika, a poziom L ich rozwarcie, to oczywiste staną się zależności w postaci tablic funkcji oraz tablic stanów, które opisują logikę pracy takich układów. W aplikacji przewidziano możliwość zmiany stanu na wejściach układów logicznych oraz zmianę typu logiki. W zależności od sposobu przyporządkowania wartości logicznych 0 i 1 poziomom L i H uzyskuje się realizację przez ten sam układ logiczny funkcji NOR (OR) lub NAND (AND). Jeżeli poziomowi H przyporządkowuje się wartość logiczną 1, a poziomowi L wartość 0, to przyjmuje się, że taki układ pracuje w logice dodatniej. Odwrotne przyporządkowanie poziomów wartościom logicznym odpowiada logice ujemnej. Wprowadzonym sygnałom wejściowym oraz przyjętej logice odpowiadają realizowane przez układy funkcje logiczne reprezentowane przez ich tablice stanów. Do wprowadzania danych służą przyciski <H/L> (czerwone/niebieskie).

            0x01 graphic

            6_Przerzutnik R-S.exe

            Przerzutnik jest najprostszym wariantem przerzutnika bistabilnego, dla którego charakterystyczne są dwa wejścia programujące i oraz dwa komplementarne wyjścia, i . Ponieważ i są wejściami asynchronicznymi więc zmiana stanu wyjść i następuje natychmiast po pojawieniu się sygnałów wejściowych. Ogranicza to jego stosowanie w układach synchronicznych, gdzie zmiany stanu są dopuszczalne w określonych momentach czasu, wyznaczanych przez sygnały zegarowe (taktujące). Przy wyzwalaniu impulsowym o stanie przerzutnika decyduje najdłużej utrzymujący się sygnał ustawiający lub . Dodatkowym ograniczeniem w stosowaniu tego przerzutnika jest zabronione równoczesne występowanie sygnałów ustawiających na wejściach programujących. W aplikacji pokazano schemat logiczny przerzutnika wykonanego z użyciem bramek NOR, jego tablicę stanów i symbol graficzny. Zmianę stanów przerzutnika zgodnie z jego tablicą stanów można uzyskać po kliknięciu lewym klawiszem myszki w okienku z symbolami sygnałów i zaznaczeniu pożądanego.

            0x01 graphic

            1. Sprawozdanie.

            W sprawozdaniu z realizacji ćwiczenia zawrzeć:

            • modele przekaźnikowe 3-wejsciowych bramek NAND i NOR,

            • tablice stanów funktorów NOR, NAND, AND, OR, ExOR, przerzutnika RS,

            • opis budowy oraz przeznaczenie przerzutnika Schmitta,

            • zamieścić przechwycone w formie elektronicznej przykłady formowania sygnału przez przerzutnik Schmitta, oraz przechwycone przykłady próbkowania sygnału analogowego.

            1. Pytania kontrolne.

            1. Symbole graficzne, tablice stanów, modele przekaźnikowe dla podstawowych funktorów: NAND, NOR, AND, OR.

            2. Symbole graficzne, tablice stanów bramek ExOR, ExNOR.

            3. Przerzutniki: monostabilny i bistabilny - działanie, przeznaczenie.

            4. Prawa deMorgana.

            5. Przerzutnik Schmitta: działanie, przeznaczenie.

            1. Wykaz literatury.

            1. J. Pieńkoś, J. Turczyński, Układy scalone TTL w systemach cyfrowych, WKiŁ,

            2. J. Kalisz, Podstawy elektroniki cyfrowej, WKiŁ,

            3. T. Floyd, Digital fundamentals, Prentice Hall,

            4. A. Rusek, Podstawy elektroniki, cz. 2, WSiP,

            5. A. Filipkowski, Układy elektroniczne analogowe i cyfrowe, WNT,

            6. R. Ćwirko, M. Rusek, W. Marciniak, Układy scalone, WNT.

            7. W. Tłaczała, Środowisko LabVIEW w eksperymencie wspomaganym komputerowo,

            WNT.

            U 1

            A B

            X = AB

            Y = A+B

            A

            B

            A

            B

            F

            A

            F

            B

            F

            A

            A

            F

            B

            A

            F

            B

            A

            F

            B

            A

            F

            B

            0x01 graphic

            0x01 graphic

            0x01 graphic



            Wyszukiwarka

            Podobne podstrony:
            TECHNIKA CYFROWA - sprawko lab 1, Studia, PWR, 4 semestr, Podstawy techniki mikroprocesorowej, labor
            TECHNIKA CYFROWA - sprawko lab 4, Studia, PWR, 4 semestr, Podstawy techniki mikroprocesorowej, labor
            TECHNIKA CYFROWA - sprawko lab 5, Studia, PWR, 4 semestr, Podstawy techniki mikroprocesorowej, labor
            01.Podstawowe bramki logiczne instrukcja poprawiona, Akademia Morska, III semestr, technika cyfrowa,
            15 ćwiczeń laboratoryjnych z Podstaw Elektroniki i Techniki Cyfrowej
            4 Podstawy techniki cyfrowej
            15 ćwiczeń laboratoryjnych z Podstaw Elektroniki i Techniki Cyfrowej
            mazurkiewicz,Podstawy techniki cyfrowej i mikroprocesorowej I, opracowanie zagadnień
            piasecki,Podstawy techniki cyfrowej i mikroprocesorowej I,pytania i odpowiedzi egzamin
            Tomasz Piasecki Podstawy techniki cyfrowej i mikroprocesorowej algebra boolea
            Podstawy techniki cyfrowej
            Podstawy Techniki Cyfrowej A Skorupski
            ~$Podstawy techniki cyfrowej doc
            NOTAKI Z TECHNIKI CYFROWEJ
            01 Podstawy i technika

            więcej podobnych podstron