TS 2b, SPRAWOZDANIA, sprawozdania 1


Politechnika Lubelska

Laboratorium Teorii Sterowania

w Lublinie

Ćwiczenie Nr 2b

Imię i Nazwisko:

Lachowicz Łukasz, Wojtek Budek, Piotr Lipnicki

Semestr

V

Grupa

ED 5.3

Rok akad.

2007/2008

Temat ćwiczenia: Sprzętowa i programowa synteza układów sterowania logicznego

Data wykonania

18-10-2007 r.

Ocena

Cel ćwiczenia:

Zaprojektować układ załączania silnika asynchronicznego do sieci za pomocą stycznika S. Załączenie przyciskiem Z, wyłączenie przyciskiem W.

Graf przejść:

0x01 graphic

Tabela przejść:

0x01 graphic

Układ realizujący tabele przejść:

0x01 graphic

Elementy pamięci P (3 implikanty)

0x01 graphic

Elementy pamięci Q (4 implikanty)

0x01 graphic

Element wyjściowy Z1 (1 implikant)

0x01 graphic

Wykres czasowy po wykonaniu wskazanej sekwencji : 0x01 graphic

0x01 graphic

Wykres czasowy po wykonaniu wskazanej sekwencji: 0x01 graphic

0x01 graphic

Wnioski:

Układ został zaprojektowany zgodnie z wymaganiami zadania. Przeglądając przebieg taktowy utworzony w programie Hoffman, widać konkretne kroki, które mogły by wystąpić.

Wciskając klawisz A, następnie dokładając B, odkładając A, później B w pierwszej fazie widać, kiedy zostaje naciśnięty pierwszy klawisz zostaje podany sygnał załącz na stycznik i wciskając konkretną kombinacje nie widać żadnych nieprzewidzianych zachowań silnika. Sytuacja wygląda podobnie jak zaczynamy cały proces z drugiej strony wciskając B, dokładając A, odkładając B i odkładając A przebieg taktowy wygląda analogicznie tylko z tą różnicą iż w tym wypadku na stycznik był podany sygnał wyłączenia. Drugi wykres czasowy przedstawia inną sekwencję wciskania klawiszy. Poczynając od załączenia A, dodania B, puszczenia B a następnie A, poprzez wduszenie B dodanie A puszczenie A i puszczenie B oraz ponowne załączenie A. Widać iż w tym wypadku również stan wyjścia nie został zmieniony przy kolejnym dokładaniu i odkładaniu konkretnych klawiszy załącz i odłącz.0x01 graphic

3



Wyszukiwarka

Podobne podstrony:
5 zalacznik 2b sprawozdanie okresowe tabele finansowe
ts, Politechnika, Sprawozdania, projekty, wyklady, Mechanika Ogolna
5 zalacznik 2b sprawozdanie okresowe tabele finansowe
Sprawozdanie 2 - TS.2, Studia, Przetwórstwo mięsa - Semestr 1, TS 2
Sprawozdanie 1 - TS.2, Studia, Przetwórstwo mięsa - Semestr 1, TS 2
sprawozdanie z TŚ
Rys 2b, AGH, Semestr 10, Zmenczenie materialow pod kontrola, Sprawozdanie 3
MateriaL,oznawstwo i Techniki W Sprawozdanie 2B id 284246
2 definicje i sprawozdawczośćid 19489 ppt
PROCES PLANOWANIA BADANIA SPRAWOZDAN FINANSOWYC H
W 11 Sprawozdania
Wymogi, cechy i zadania sprawozdawczośći finansowej
Analiza sprawozdan finansowych w BGZ SA
W3 Sprawozdawczosc
1 Sprawozdanie techniczne
Karta sprawozdania cw 10

więcej podobnych podstron